Webinar – Advanced Semiconductor Packaging: leading patent owners and new entrants

SOPHIA ANTIPOLIS, France – May 30, 2024 │Dr. Pauline Calka shared her expertise on Advanced Semiconductor Packaging, focusing on leading patent owners and new entrants in fan-out WLP, interposer, bridge, and hybrid bonding technologies. All the materials are gathered below. Webinar video recording https://www.knowmade.com/wp-content/uploads/2024/05/Webinar_Advanced-Semiconductor-Packaging_2024-05-30.mp4 About the subject Since 2016, KnowMade…

TongFu Microelectronics is investing in hybrid bonding intellectual property to bolster its advanced packaging activities

…(Belgium) as Senior Process Engineer on thin film development for logic, memory and MEMS, and two years at CEA-Leti as Integration Engineer on imaging CMOS sensors. About KnowMade KnowMade is a technology intelligence and IP strategy consulting company specialized in analyzing patents and scientific publications. The company helps innovative companies,…

Hybrid bonding: A global picture of the IP competition

…at CEA-Leti as Integration Engineer on imaging CMOS sensors. About KnowMade KnowMade is a technology intelligence and IP strategy consulting company specialized in analyzing patents and scientific publications. The company helps innovative companies, investors, and R&D organizations to understand competitive landscape, follow technological evolutions, reduce uncertainties, and identify opportunities and…

Advanced semiconductor packaging: leading patent owners and new entrants

…thin film development for logic, memory and MEMS, and two years at CEA-Leti as Integration Engineer on imaging CMOS sensors. About KnowMade KnowMade is a technology intelligence and IP strategy consulting company specialized in analyzing patents and scientific publications. The company helps innovative companies, investors, and R&D organizations to understand…